STOCK TITAN

Onto Innovation to Report Fourth Quarter and Full Year 2023 Financial Results on February 8, 2024

Rhea-AI Impact
(Low)
Rhea-AI Sentiment
(Positive)
Tags
conferences earnings
Rhea-AI Summary
Onto Innovation Inc. (NYSE: ONTO) will release its 2023 fourth quarter and full year results on February 8, 2024. The company will host a conference call and audio webcast with CEO Michael P. Plisinski and CFO Mark Slicer. To participate, dial (888) 256-1007 or international: +1 (929) 477-0448 and reference conference ID 7036289. A live webcast will be available on the Company’s website at www.ontoinnovation.com.
Positive
  • None.
Negative
  • None.

WILMINGTON, Mass.--(BUSINESS WIRE)-- Onto Innovation Inc. (NYSE: ONTO) will release its 2023 fourth quarter and full year results shortly after the market closes on February 8, 2024. Onto Innovation will host a conference call and audio webcast in connection with its release of the financial results. Michael P. Plisinski, chief executive officer, and Mark Slicer, chief financial officer, will host the call. The call will take place:

Thursday, February 8, 2024 at 4:30 p.m. (ET)

To participate in the call, please dial (888) 256-1007 or international: +1 (929) 477-0448 and reference conference ID 7036289 at least five (5) minutes prior to the scheduled start time. A live webcast will also be available on the Company’s website at www.ontoinnovation.com. To listen to the live webcast, please go to the website at least 15 minutes early to register, download and install any necessary audio software.

There will be a replay of the conference call available for one year on the Company’s website at www.ontoinnovation.com.

About Onto Innovation Inc.

Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: Un-patterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; metal interconnect composition; factory analytics; and lithography for advanced semiconductor packaging.

Our breadth of offerings across the entire semiconductor value chain combined with our connected thinking approach results in a unique perspective to help solve our customers’ most difficult yield, device performance, quality, and reliability issues. Onto Innovation strives to optimize customers’ critical path of progress by making them smarter, faster and more efficient.

With headquarters and manufacturing in the U.S., Onto Innovation supports customers with a worldwide sales and service organization. Additional information can be found at www.ontoinnovation.com.

###

Source: Onto Innovation Inc.

ONTO-I

Michael Sheaffer

+1 978.253.6273

mike.sheaffer@OntoInnovation.com

Source: Onto Innovation Inc.

FAQ

When will Onto Innovation Inc. release its 2023 fourth quarter and full year results?

Onto Innovation Inc. will release its 2023 fourth quarter and full year results shortly after the market closes on February 8, 2024.

Who will host the conference call and audio webcast for Onto Innovation Inc.?

CEO Michael P. Plisinski and CFO Mark Slicer will host the call.

How can I participate in the conference call for Onto Innovation Inc.?

To participate, dial (888) 256-1007 or international: +1 (929) 477-0448 and reference conference ID 7036289 at least five (5) minutes prior to the scheduled start time.

Where can I find the live webcast for Onto Innovation Inc.?

A live webcast will be available on the Company’s website at www.ontoinnovation.com.

Will there be a replay of the conference call available for Onto Innovation Inc.?

Yes, there will be a replay of the conference call available for one year on the Company’s website at www.ontoinnovation.com.

Onto Innovation Inc.

NYSE:ONTO

ONTO Rankings

ONTO Latest News

ONTO Stock Data

10.25B
48.73M
0.72%
101.4%
2.56%
Semiconductor and Related Device Manufacturing
Manufacturing
Link
United States of America
WILMINGTON

About ONTO

onto innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: un-patterned wafer quality; 3d metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; metal interconnect composition; factory analytics; and lithography for advanced semiconductor packaging. our breadth of offerings across the entire semiconductor value chain helps our customers solve their most difficult yield, device performance, quality, and reliability issues. onto innovation strives to optimize customers’ critical path of progress by making them smarter, faster and more efficient. headquartered in wilmington, massachusetts, onto innovation supports customers with a worldwide sales and service organization. we are traded on the new york stock exchange under the symbol onto.