STOCK TITAN

Applied Materials Expands Patterning Solutions Portfolio for Angstrom Era Chipmaking

Rhea-AI Impact
(Neutral)
Rhea-AI Sentiment
(Neutral)
Tags
Rhea-AI Summary
Applied Materials, Inc. introduces new products and solutions at SPIE Advanced Lithography + Patterning conference to address chip patterning challenges in the 'angstrom era'. The company's Sculpta pattern-shaping technology is gaining traction with leading chipmakers, reducing double patterning steps and improving chip yield. Intel and Samsung are among the companies benefiting from Sculpta's deployment for angstrom process nodes. Applied also unveils new etch technology to heal EUV line edge roughness, a CVD patterning film for angstrom era patterning, and Aselta Nanographics for precise chip feature placement.
Positive
  • Applied Materials, Inc. introduces a range of products and solutions at the SPIE Advanced Lithography + Patterning conference to cater to chip patterning needs in the 'angstrom era'.
  • The company's Sculpta pattern-shaping technology is being embraced by top chipmakers to streamline processes and enhance chip yield.
  • Intel and Samsung are leveraging Applied's Sculpta systems for their angstrom process nodes, witnessing improved throughput, enhanced wafer yield, and reduced process complexity and cost.
  • Applied unveils the Sym3 Y Magnum etch system to address EUV line edge roughness, enabling smoother line patterns, increased yields, and decreased line resistance for improved chip performance.
  • The Producer XP Pioneer CVD patterning film introduced by Applied is designed to transfer patterns to the wafer with exceptional fidelity, catering to the needs of the angstrom era.
  • Applied's acquisition of Aselta Nanographics bolsters its eBeam metrology capabilities, aiding in precise chip feature placement to enhance chip performance and power consumption.
Negative
  • None.

The introduction of Applied Materials' suite of products, including the Sculpta® patterning system, Sym3® Y Magnum™ etch system and Producer® XP Pioneer® CVD patterning film, represents a significant advancement in the semiconductor manufacturing process, specifically addressing the challenges posed by extreme ultraviolet (EUV) and High-NA EUV lithography.

These technologies are critical in the 'angstrom era', as the industry moves towards 2nm process nodes and below. The ability to reduce line edge roughness, bridge defects and edge placement errors directly impacts the yield and reliability of semiconductor devices. This is especially relevant for leading-edge logic chipmakers and memory manufacturers who are under constant pressure to enhance performance while reducing costs.

The adoption of these systems by industry giants such as Intel and Samsung indicates a strong vote of confidence in Applied Materials' innovations. This could lead to increased market share for Applied in the etch and deposition equipment segment, potentially affecting the company's financial performance positively in the long run.

Applied Materials' announcement is likely to resonate with investors and stakeholders, given the company's collaboration with top chipmakers and the early adoption of its technologies in production environments. The deployment of Sculpta systems at Intel for angstrom process nodes and Samsung's evaluation for their 4nm process suggest that Applied Materials is well-positioned to capitalize on the industry's transition to more advanced manufacturing techniques.

Financially, the increased throughput, enhanced yield and reduced process complexity mentioned by Intel can translate to better gross margins for chipmakers, which in turn could lead to increased demand for Applied Materials' products. Moreover, the integration of Aselta Nanographics' design-based metrology technology could further solidify Applied's competitive edge in the market, potentially leading to upward revisions in revenue forecasts and stock valuations.

The technology described, particularly in the etch and deposition processes, is a testament to the material science innovations driving the semiconductor industry forward. The Sym3 Y Magnum system's combination of deposition and etch in a single chamber to smooth EUV line patterns is a notable breakthrough, addressing a fundamental issue of line edge roughness that can lead to defects.

Furthermore, the Pioneer CVD patterning film's resilience to etch chemistries and its compatibility with the Sculpta pattern-shaping technology exemplify the interdisciplinary approach required in materials engineering to achieve the desired outcomes at angstrom-scale dimensions. These advancements not only enhance chip performance but also play a vital role in the continued scaling of semiconductor devices.

  • Applied is working with all leading-edge logic chipmakers on a growing number of applications for its Sculpta® pattern-shaping technology
  • Introducing innovative new etch systems, CVD patterning films and metrology solutions to complement and improve chips made using EUV and High-NA EUV lithography

SAN JOSE, Calif., Feb. 26, 2024 (GLOBE NEWSWIRE) -- Today at the SPIE Advanced Lithography + Patterning conference, Applied Materials, Inc. introduced a portfolio of products and solutions designed to address the patterning requirements of chips in the “angstrom era.” As chipmakers transition to process nodes at 2nm and below, they increasingly benefit from new materials engineering and metrology techniques that help overcome EUV and High-NA EUV patterning challenges, including line edge roughness, tip-to-tip spacing limitations, bridge defects and edge placement errors.

Sculpta Momentum: Growing Adoption and New Applications

At last year’s SPIE lithography conference, Applied introduced the Centura® Sculpta® patterning system, which allows chipmakers to reduce EUV double patterning steps by elongating patterned features, bringing the tips of the features closer together than achievable with a single EUV or High-NA EUV exposure. Applied is now working with all leading-edge logic chipmakers on a growing number of Sculpta applications. For example, in addition to reducing tip-to-tip spacing, chipmakers are using Sculpta to remove bridge defects, thereby enabling reduced patterning cost and improved chip yield.

“Leading chipmakers are seeing excellent results as they deploy Sculpta systems in production and explore additional applications beyond EUV double patterning step reduction,” said Dr. Prabu Raja, President of the Semiconductor Products Group at Applied Materials. “Sculpta is an entirely new tool in the patterning engineer’s tool kit that will be used in many more applications as engineers use their imaginations to solve challenging problems in new ways.”

“Pattern shaping is an innovative solution that is helping Intel accelerate its process technology roadmap,” said Ryan Russell, Corporate Vice President for Logic Technology Development at Intel. “We are deploying Sculpta systems for our angstrom process nodes, with initial results showing improved throughput, enhanced wafer yield, and reduced process complexity and cost. Pattern shaping facilitates new strategies for advanced patterning and paves the way for pushing lithographic print boundaries.”

“Pattern shaping is a breakthrough technology that addresses key challenges in the EUV era,” said Jong-Chul Park, Master of Foundry Etch Technology Team at Samsung Electronics. “Samsung is an early development partner and is evaluating the Sculpta systems for our 4nm process. We are looking forward to positive results, including reduced cost and complexity and increased yield.”

New Etch Technology Heals EUV Line Edge Roughness

EUV systems produce fewer of the photons needed to crisply define line and space patterns in photoresists. As a result, lines with rough edges are etched into the wafer, potentially creating open and short circuits in the chip. These yield-killing defects are becoming more prevalent as chipmakers implement angstrom era designs with narrower line and space patterns.

Applied today introduced the Sym3® Y Magnum™ etch system, which combines deposition and etch technology in the same chamber. The unique system deposits material along rough edges, making EUV line patterns smoother before they are etched into the wafer, enabling an increase in yields and a decrease in line resistance to improve chip performance and power consumption. In foundry-logic, Sym3 Y Magnum has already been adopted for critical etch applications at leading chipmakers and is now being deployed for EUV patterning in angstrom era nodes. In memory, Sym3 Y Magnum is the most widely adopted etch technology for EUV patterning in DRAM.

New CVD Patterning Film for Angstrom Era Patterning

Applied today introduced the Producer® XP Pioneer® CVD (chemical vapor deposition)​ patterning film. The Pioneer film is deposited on the wafer prior to photoresist pattern processing and is uniquely designed to transfer desired patterns to the wafer with exceptional fidelity. Pioneer is based on a unique high-density carbon formula that is more resilient to etch chemistries used in the most advanced process nodes, permitting thinner film stacks with superior sidewall feature uniformity. Pioneer has already been adopted by leading memory manufacturers for DRAM patterning.

Pioneer has been co-optimized with Applied’s Sculpta pattern-shaping technology, enabling patterning engineers to maximize pattern elongation while maintaining tight control of the original EUV pattern. Pioneer is also being co-optimized with the new Sym3 Y Magnum etch system to provide higher selectivity and better control over conventional carbon films for critical etch applications in logic and memory processing.

Avoiding Placement Errors: Introducing Aselta

Applied’s industry-leading eBeam metrology systems are used by the world’s leading logic and memory companies to develop and control their most critical EUV patterning applications. A major challenge is tightly defining and placing the billions of features on each layer so they properly align with their opposite features on the next layer of the chip. Small placement errors reduce chip performance and power consumption, and large errors create yield-killing defects.

Applied has acquired Aselta Nanographics, a technology leader in design-based metrology using contours. Contours enable patterning engineers to gather orders of magnitudes more data about the shapes their recipes are creating in patterning films and on the wafer. This data is fed back into the lithography and process flow to create more exact on-chip features and placement.

“Aselta contour technology is now being integrated with Applied’s VeritySEM® CD-SEM system and PROVision® eBeam metrology system to give chipmakers a unique end-to-end capability that addresses the full spectrum of angstrom era metrology challenges,” said Keith Wells, Group Vice President of Imaging and Process Control at Applied Materials.

A Growing Patterning Portfolio and Business

Since 2012, Applied Materials has made patterning a research and development priority, investing to deliver new products and solutions that help customers overcome their toughest patterning challenges, particularly in emerging EUV and High-NA EUV applications. The company’s patterning product portfolio today includes CVD and ALD deposition; four types of materials removal (etch, selective materials removal, pattern shaping and CMP); thermal processes; and eBeam metrology. The company has increased its served available market in patterning from around $1.5 billion in 2013 to more than $8 billion in 2023 – and grown its share of the opportunity from about 10 percent to more than 30 percent over the same timeframe.

Forward-Looking Statements
This press release contains forward-looking statements, including those regarding anticipated benefits of our new products and technologies; expected growth and trends in our businesses and markets, industry outlooks and demand drivers, technology transitions, and other statements that are not historical facts. These statements and their underlying assumptions are subject to risks and uncertainties and are not guarantees of future performance. Factors that could cause actual results to differ materially from those expressed or implied by such statements include, without limitation: failure to realize anticipated benefits of our new products and technologies; the demand for semiconductors; customers’ technology and capacity requirements; the introduction of new and innovative technologies, and the timing of technology transitions; market acceptance of existing and newly developed products; the ability to obtain and protect intellectual property rights in technologies; our ability to ensure compliance with applicable law, rules and regulations; and other risks and uncertainties described in our SEC filings, including our recent Forms 10-Q and 8-K. All forward-looking statements are based on management’s current estimates, projections and assumptions, and we assume no obligation to update them.

About Applied Materials
Applied Materials, Inc. (Nasdaq: AMAT) is the leader in materials engineering solutions used to produce virtually every new chip and advanced display in the world. Our expertise in modifying materials at atomic levels and on an industrial scale enables customers to transform possibilities into reality. At Applied Materials, our innovations make possible a better future. Learn more at www.appliedmaterials.com.

Contact:
Ricky Gradwohl (editorial/media) 408.235.4676
Michael Sullivan (financial community) 408.986.7977

Photos accompanying this announcement are available at: 

https://www.globenewswire.com/NewsRoom/AttachmentNg/7f18da3e-ea63-4c18-b31c-49abb997cf99

https://www.globenewswire.com/NewsRoom/AttachmentNg/c1db1fa0-62fd-41bb-8bb2-6b1cc415ba29


FAQ

What technology did Applied Materials introduce to address chip patterning requirements at the SPIE Advanced Lithography + Patterning conference?

Applied Materials introduced a portfolio of products and solutions at the SPIE Advanced Lithography + Patterning conference.

Which chipmakers are working with Applied Materials on the Sculpta pattern-shaping technology?

Applied Materials is working with all leading-edge logic chipmakers on the Sculpta pattern-shaping technology.

What benefits are Intel and Samsung experiencing from deploying Applied's Sculpta systems?

Intel and Samsung are witnessing improved throughput, enhanced wafer yield, and reduced process complexity and cost by deploying Applied's Sculpta systems.

What is the purpose of the Sym3 Y Magnum etch system introduced by Applied?

The Sym3 Y Magnum etch system is designed to address EUV line edge roughness, enabling smoother line patterns, increased yields, and decreased line resistance for improved chip performance.

What is the unique feature of the Producer XP Pioneer CVD patterning film introduced by Applied?

The Producer XP Pioneer CVD patterning film is uniquely designed to transfer desired patterns to the wafer with exceptional fidelity.

What is the role of Aselta Nanographics in Applied's metrology systems?

Aselta Nanographics, acquired by Applied, aids in precise chip feature placement using contours for improved chip performance and power consumption.

Applied Materials Inc

NASDAQ:AMAT

AMAT Rankings

AMAT Latest News

AMAT Stock Data

169.58B
826.71M
0.31%
85.46%
1.5%
Semiconductor and Related Device Manufacturing
Manufacturing
Link
United States of America
SANTA CLARA

About AMAT

Applied Materials, Inc. engages in the provision of manufacturing equipment, services, and software to the semiconductor, display, and related industries. It operates through three segments: Semiconductor Systems, Applied Global Services, and Display and Adjacent Markets.