STOCK TITAN

ACM Research Expands Advanced Packaging Portfolio with Introduction of Frame Wafer Cleaning Tool

Rhea-AI Impact
(Neutral)
Rhea-AI Sentiment
(Neutral)
Tags
Rhea-AI Summary

ACM Research, Inc. (ACM) introduces the Frame Wafer Cleaning Tool for advanced packaging, offering residue-free cleaning and environmental benefits. The tool includes an innovative solvent reclamation system, enabling nearly 100% recovery and filtration of solvents. ACM successfully installed the first tool with a major Chinese manufacturer, showcasing its commitment to sustainability and customer support in the semiconductor industry.

Positive
  • Introduction of Frame Wafer Cleaning Tool for advanced packaging

  • Innovative solvent reclamation system reducing waste and lowering production costs

  • Successful installation and qualification with a major Chinese manufacturer

  • Commitment to sustainability by offering tools that recover solvents

  • Proprietary handling allowing processing of thin wafers above 150µm

Negative
  • None.

Achieves residue-free performance for post-debonding cleaning while minimizing environmental impact

FREMONT, Calif., May 08, 2024 (GLOBE NEWSWIRE) -- ACM Research, Inc. (“ACM”) (NASDAQ: ACMR), a leading supplier of wafer processing solutions for semiconductor and advanced wafer-level packaging applications, today introduced its Frame Wafer Cleaning Tool for advanced packaging. The tool effectively cleans semiconductor wafers during the post-debonding cleaning process. The Frame Wafer Cleaning Tool also includes an innovative solvent reclamation system that provides environmental and cost benefits. This feature enables nearly 100% recovery and filtration of the solvents, thus reducing the use of consumables used during the production process. ACM also announced today that it has successfully completed the installation and qualification of the first tool with a major Chinese manufacturer.

“We believe ACM’s industry experience and customer relationships position us to support the evolving demands of advanced packaging,” said ACM President and Chief Executive Officer Dr. David Wang. “We believe our Frame Wafer Cleaning Tool will prove attractive to our customer base as the semiconductor industry shifts to advanced packaging and 3D integration for back-end designs. We are committed to addressing sustainability demands by offering our customers with tools that can recover solvents, thereby reducing waste and lowering the total cost of ownership in the production process.”

The tool seamlessly handles standard wafers and tape frame wafers. The chambers and load port are configured to accommodate simultaneous processing of both types of wafers, providing flexible and efficient operational capabilities. ACM’s proprietary handling allows the tool to process thin wafers with thicknesses above 150µm. The cleaning process utilizes ACM’s patented Smart Megasonix technology for thorough, damage-free cleaning across the wafer. It provides photoresist residue removal, particularly edge bead removal, leaving a residue-free surface post-cleaning.

Key features of the Frame Wafer Cleaning Tool include:

  • A specially engineered vacuum chuck that ensures minimal backside damage to wafers, setting a new standard for precision in extreme cleaning conditions.
  • Use of ACM’s patented fixed method, which guarantees unparalleled stability for tape-frame processing during high-speed rotation.
  • State-of-the-art anti-static performance using an ion bar in the equipment front-end module and each chamber module, complemented by a DI-CO2 mixer, to safeguard wafers against static electricity impact throughout the processing cycle.

About the Frame Wafer Cleaning Tool

The Frame Wafer Cleaning Tool is equipped with four chambers and provides configuration versatility through options like high-purity solvent, MegPie solvent, deionized water, nano solvent and an isopropyl alcohol nozzle, allowing seamless adaptation to diverse processes. Moreover, it achieves efficient cleaning and drying by accomplishing both tasks in a single chamber. It is available in 8-inch and 12-inch configurations for both standard wafers and tape frame wafers.

FORWARD LOOKING STATEMENTS

Certain statements contained in this press release are not historical facts and may be forward-looking statements within the meaning of the Private Securities Litigation Reform Act of 1995. Words such as “plans,” “expects,” “believes,” “anticipates,” “designed,” and similar words are intended to identify forward-looking statements. Forward-looking statements are based on ACM management’s current expectations and beliefs, and involve a number of risks and uncertainties that are difficult to predict and that could cause actual results to differ materially from those stated or implied by the forward-looking statements. A description of certain of these risks, uncertainties and other matters can be found in filings ACM makes with the U.S. Securities and Exchange Commission, all of which are available at www.sec.gov. Because forward-looking statements involve risks and uncertainties, actual results and events may differ materially from results and events currently expected by ACM. ACM undertakes no obligation to publicly update these forward-looking statements to reflect events or circumstances that occur after the date hereof or to reflect any change in its expectations with regard to these forward-looking statements or the occurrence of unanticipated events.

About ACM Research, Inc.

ACM develops, manufactures and sells semiconductor process equipment for single-wafer or batch wet cleaning, electroplating, stress-free polishing and thermal processes that are critical to advanced semiconductor device manufacturing, as well as wafer-level packaging. ACM is committed to delivering customized, high-performance, cost-effective process solutions that semiconductor manufacturers can use in numerous manufacturing steps to improve productivity and product yield. For more information, visit www.acmrcsh.com.

© ACM Research, Inc. Smart Megasonix and the ACM Research logo are trademarks of ACM Research, Inc. For convenience, these trademarks appear in this press release without ™ symbols, but that practice does not mean ACM will not assert, to the fullest extent under applicable law, its rights to such trademarks. All other trademarks are the property of their respective owners.

Media Contact: Company Contacts:
Shannon Blood 
KiterocketUSA
+1 208.216.9180Robert Metter
sblood@kiterocket.com+1 503.367.9753
  
 China
 Xi Wang
 ACM Research (Shanghai), Inc.
 +86 21 50808868
  
 Korea
 YY Kim
 ACM Research (Korea), Inc.
 +82 1041415171
  
 Taiwan
 David Chang
 +886 921999884
  
 Singapore
 Adrian Ong
 +65 8813-1107

 


FAQ

What is ACM Research introducing with the Frame Wafer Cleaning Tool?

ACM Research is introducing the Frame Wafer Cleaning Tool for advanced packaging.

What are the benefits of the solvent reclamation system in the tool?

The solvent reclamation system enables nearly 100% recovery and filtration of solvents, reducing waste and lowering production costs.

Who was the first tool installed with?

The first tool was successfully installed and qualified with a major Chinese manufacturer.

What is ACM Research's commitment in the semiconductor industry?

ACM Research is committed to sustainability by offering tools that can recover solvents, addressing evolving demands in advanced packaging.

What thickness of wafers can the tool process?

The tool can process thin wafers with thicknesses above 150µm.

ACM Research, Inc.

NASDAQ:ACMR

ACMR Rankings

ACMR Latest News

ACMR Stock Data

1.48B
46.19M
21.8%
59.46%
5.92%
Semiconductor and Related Device Manufacturing
Manufacturing
Link
United States of America
FREMONT

About ACMR

acm research, inc., together with its subsidiaries, develops, manufactures, and sells single-wafer wet cleaning equipment for enhancing the manufacturing process and yield for integrated chips worldwide. it offers space alternated phase of megasonic waves to deliver megasonic energy to flat and patterned wafer surfaces in a uniform manner on a microscopic level; timely energized bubble oscillation cleaning equipment for two-dimensional and three-dimensional patterned wafers at advanced process nodes; and single-wafer tools for back-end assembly and packaging equipment, as well as electro-chemical plating equipment for advanced metal plating. the company markets and sells its products under the ultra c brand name through direct sales force and third-party representatives. acm research, inc. was founded in 1998 and is headquartered in fremont, california.