STOCK TITAN

Intel Launches World’s First Systems Foundry Designed for the AI Era

Rhea-AI Impact
(Neutral)
Rhea-AI Sentiment
(Neutral)
Tags
AI
Rhea-AI Summary
Intel launches Intel Foundry as a sustainable systems foundry business for the AI era, expanding process roadmap to lead as No. 2 foundry by 2030. Microsoft design win on Intel 18A process highlighted. Ecosystem partners ready to support customer chip designs.
Positive
  • None.
Negative
  • None.

The expansion of Intel's process roadmap and the launch of Intel Foundry are strategic moves aimed at capturing a significant share of the foundry market. With ambitions to become the No. 2 foundry by 2030, Intel is signaling a major shift in its business model, from being predominantly a chip manufacturer for its own products to becoming a service provider for other companies' chip manufacturing needs. This diversification could potentially open up new revenue streams and reduce dependency on the cyclical nature of chip sales to end consumers.

Intel's emphasis on AI and the introduction of new process technologies like Intel 14A and specialized node evolutions suggest that the company is targeting high-growth areas that require cutting-edge process capabilities. The announcement of Microsoft's commitment to produce chips on the Intel 18A process indicates confidence in Intel's technological capabilities and could serve as a catalyst for further customer acquisition. Moreover, the collaboration with ecosystem partners such as Synopsys, Cadence, Siemens and Ansys, which have validated tools and IP for Intel's technology, is critical for ensuring a smooth transition for customers migrating to Intel's foundry services.

However, Intel's ambitious plans will require significant capital investment and could face execution risks. The company will also be competing with established foundry leaders like TSMC and Samsung, which have a strong foothold in the market. Investors will need to monitor Intel's progress on its roadmap, customer acquisition and partnerships to assess the long-term viability of this strategic pivot.

The announcement by Intel has important implications for its financial outlook. Foundries are capital-intensive businesses and Intel's commitment to a five-nodes-in-four-years roadmap will likely entail substantial R&D and capital expenditures. This could impact short-term profitability but is intended to position Intel for long-term growth. The partnership with Microsoft is particularly noteworthy, as it provides an early indication of customer trust in Intel's foundry capabilities and may contribute to future revenue.

In the near term, investors should watch for Intel's capital spending updates and any changes to its margin profiles as it scales up its foundry business. Intel's stock may react to the perceived risks and opportunities associated with this strategic direction. The success of Intel's foundry business will depend on its ability to deliver on its technology promises and to secure further design wins from major players in the technology space.

From a financial perspective, the validation of Intel's processes by prominent ecosystem partners is a positive sign, as it may reduce the time to market for customer products and enhance the attractiveness of Intel's offering. Nevertheless, the competitive dynamics of the foundry market and the high costs of cutting-edge technology development will be key factors in determining Intel's financial performance going forward.

Intel's announcement is a significant development in the semiconductor industry, indicating a shift towards a more integrated ecosystem approach. The creation of the world's first systems foundry for the AI era could potentially lead to innovations in AI chip design and manufacturing. Intel's roadmap, featuring the industry's first backside power solution, suggests a technological leap that could improve power efficiency and performance of AI chips, a critical factor given the energy-intensive nature of AI computations.

The collaboration with Arm and the presence of OpenAI's CEO at the event underscore Intel's commitment to a broad ecosystem approach, which is essential in an industry where interoperability and standardization are key. The readiness of ecosystem partners to support Intel's foundry services with validated tools and IP is a testament to the potential of Intel's foundry services to become a hub for innovation, particularly in AI and other advanced computing fields.

It is important to note that the semiconductor industry is characterized by long development cycles and high barriers to entry due to the complexity and cost of advanced process technologies. Intel's roadmap and partnerships will need to be executed flawlessly to meet the ambitious target of becoming the No. 2 foundry by 2030. Stakeholders should monitor the adoption rate of Intel's new technologies and its ability to maintain technological leadership against fierce competition.

Intel announces expanded process roadmap, customers and ecosystem partners to deliver on ambition to be the No. 2 foundry by 2030.

Company hosts Intel Foundry event featuring U.S. Commerce Secretary Gina Raimondo, Arm CEO Rene Haas and Open AI CEO Sam Altman and others.

NEWS HIGHLIGHTS

  • Intel Foundry launches as the world’s first systems foundry for the AI era, delivering leadership in technology, resiliency and sustainability.
  • Intel Foundry unveils new roadmap featuring Intel 14A process technology, specialized node evolutions and new Intel Foundry Advanced System Assembly and Test (ASAT) capabilities to help customers realize their AI ambitions.
  • Intel Foundry announces design win: Microsoft CEO Satya Nadella shares that Microsoft has chosen a chip design it plans to produce on the Intel 18A process.
  • Ecosystem partners including Synopsys, Cadence, Siemens and Ansys announce validated tools, design flows and intellectual property (IP) portfolios ready to enable customer designs.

SAN JOSE, Calif.--(BUSINESS WIRE)-- Intel Corp. (INTC) today launched Intel Foundry as a more sustainable systems foundry business designed for the AI era and announced an expanded process roadmap designed to establish leadership into the latter part of this decade. The company also highlighted customer momentum and support from ecosystem partners – including Synopsys, Cadence, Siemens and Ansys – who outlined their readiness to accelerate Intel Foundry customers’ chip designs with tools, design flows and IP portfolios validated for Intel’s advanced packaging and Intel 18A process technologies.

Announced at Intel Foundry Direct Connect, Intel’s extended process technology roadmap adds Intel 14A to the company’s leading-edge node plan, in addition to several specialized node evolutions and new Intel Foundry Advanced System Assembly and Test capabilities. Intel also affirmed that its ambitious five-nodes-in-four-years process roadmap remains on track and will deliver the industry’s first backside power solution. (Credit: Intel Corporation)

Announced at Intel Foundry Direct Connect, Intel’s extended process technology roadmap adds Intel 14A to the company’s leading-edge node plan, in addition to several specialized node evolutions and new Intel Foundry Advanced System Assembly and Test capabilities. Intel also affirmed that its ambitious five-nodes-in-four-years process roadmap remains on track and will deliver the industry’s first backside power solution. (Credit: Intel Corporation)

The announcements were made at Intel’s first foundry event, Intel Foundry Direct Connect, where the company gathered customers, ecosystem companies and leaders from across the industry. Among the participants and speakers were U.S. Secretary of Commerce Gina Raimondo, Arm CEO Rene Haas, Microsoft CEO Satya Nadella, OpenAI CEO Sam Altman and others.

More: Intel Foundry Direct Connect (Press Kit)

“AI is profoundly transforming the world and how we think about technology and the silicon that powers it,” said Intel CEO Pat Gelsinger. “This is creating an unprecedented opportunity for the world’s most innovative chip designers and for Intel Foundry, the world’s first systems foundry for the AI era. Together, we can create new markets and revolutionize how the world uses technology to improve people’s lives.”

Process Roadmap Expands Beyond 5N4Y

Intel’s extended process technology roadmap adds Intel 14A to the company’s leading-edge node plan, in addition to several specialized node evolutions. Intel also affirmed that its ambitious five-nodes-in-four-years (5N4Y) process roadmap remains on track and will deliver the industry’s first backside power solution. Company leaders expect Intel will regain process leadership with Intel 18A in 2025.

The new roadmap includes evolutions for Intel 3, Intel 18A and Intel 14A process technologies. It includes Intel 3-T, which is optimized with through-silicon vias for 3D advanced packaging designs and will soon reach manufacturing readiness. Also highlighted are mature process nodes, including new 12 nanometer nodes expected through the joint development with UMC announced last month. These evolutions are designed to enable customers to develop and deliver products tailored to their specific needs. Intel Foundry plans a new node every two years and node evolutions along the way, giving customers a path to continuously evolve their offerings on Intel’s leading process technology.

Intel also announced the addition of Intel Foundry FCBGA 2D+ to its comprehensive suite of ASAT offerings, which already include FCBGA 2D, EMIB, Foveros and Foveros Direct.

Microsoft Design on Intel 18A Headlines Customer Momentum

Customers are supporting Intel’s long-term systems foundry approach. During Pat Gelsinger’s keynote, Microsoft Chairman and CEO Satya Nadella stated that Microsoft has chosen a chip design it plans to produce on the Intel 18A process.

“We are in the midst of a very exciting platform shift that will fundamentally transform productivity for every individual organization and the entire industry,” Nadella said. “To achieve this vision, we need a reliable supply of the most advanced, high-performance and high-quality semiconductors. That’s why we are so excited to work with Intel Foundry, and why we have chosen a chip design that we plan to produce on Intel 18A process.”

Intel Foundry has design wins across foundry process generations, including Intel 18A, Intel 16 and Intel 3, along with significant customer volume on Intel Foundry ASAT capabilities, including advanced packaging.

In total, across wafer and advanced packaging, Intel Foundry’s expected lifetime deal value is greater than $15 billion.

IP and EDA Vendors Declare Readiness for Intel Process and Packaging Designs

Intellectual property and electronic design automation (EDA) partners Synopsys, Cadence, Siemens, Ansys, Lorentz and Keysight disclosed tool qualification and IP readiness to enable foundry customers to accelerate advanced chip designs on Intel 18A, which offers the foundry industry’s first backside power solution. These companies also affirmed EDA and IP enablement across Intel node families.

At the same time, several vendors announced plans to collaborate on assembly technology and design flows for Intel’s embedded multi-die interconnect bridge (EMIB) 2.5D packaging technology. These EDA solutions will ensure faster development and delivery of advanced packaging solutions for foundry customers.

Intel also unveiled an "Emerging Business Initiative" that showcases a collaboration with Arm to provide cutting-edge foundry services for Arm-based system-on-chips (SoCs). This initiative presents an important opportunity for Arm and Intel to support startups in developing Arm-based technology and offering essential IP, manufacturing support and financial assistance to foster innovation and growth.

Systems Approach Differentiates Intel Foundry in the AI Era

Intel’s systems foundry approach offers full-stack optimization from the factory network to software. Intel and its ecosystem empower customers to innovate across the entire system through continuous technology improvements, reference designs and new standards.

Stuart Pann, senior vice president of Intel Foundry at Intel said, “We are offering a world-class foundry, delivered from a resilient, more sustainable and secure source of supply, and complemented by unparalleled systems of chips capabilities. Bringing these strengths together gives customers everything they need to engineer and deliver solutions for the most demanding applications.”

Global, Resilient, More Sustainable and Trusted Systems Foundry

Resilient supply chains must also be increasingly sustainable, and today Intel shared its goal of becoming the industry’s most sustainable foundry. In 2023, preliminary estimates show that Intel used 99% renewable electricity in its factories worldwide. Today, the company redoubled its commitment to achieving 100% renewable electricity worldwide, net-positive water and zero waste to landfills by 2030. Intel also reinforced its commitment to net-zero Scope 1 and Scope 2 GHG emissions by 2040 and net-zero upstream Scope 3 emissions by 2050.

Forward-Looking Statements

This release contains forward-looking statements, including with respect to Intel’s:

  • business plans and strategy;
  • current and future technologies, including future process nodes and transistor, manufacturing, and packaging technologies;
  • process and product roadmaps and schedules (including expected goals, timelines, ramps, progress, availability, and production);
  • future product architectures;
  • expectations regarding process performance, PPA gains, and other performance metrics;
  • expectations regarding product and process leadership;
  • plans and goals with respect to our foundry business, including with respect to anticipated customers, expected lifetime deal value, future manufacturing capacity, service, technology and IP offerings, third-party collaborations, ecosystem support and resilience;
  • AI strategy and capabilities;
  • future social and environmental performance goals, measures, strategies, and results;
  • anticipated growth, future market share, and trends in our businesses and operations;
  • projected growth and trends in markets relevant to our businesses; and
  • other characterizations of future events or circumstances.

Such statements involve many risks and uncertainties that could cause our actual results to differ materially from those expressed or implied, including those associated with:

  • the high level of competition and rapid technological change in our industry;
  • the significant long-term and inherently risky investments we are making in R&D and manufacturing facilities that may not realize a favorable return;
  • the complexities and uncertainties in developing and implementing new semiconductor products and manufacturing process technologies;
  • our ability to time and scale our capital investments appropriately and successfully secure favorable alternative financing arrangements and government grants;
  • implementing new business strategies and investing in new businesses and technologies;
  • changes in demand for our products;
  • macroeconomic conditions and geopolitical tensions and conflicts, including geopolitical and trade tensions between the U.S. and China, the impacts of Russia's war on Ukraine, tensions and conflict affecting Israel, and rising tensions between mainland China and Taiwan;
  • the evolving market for products with AI capabilities;
  • our complex global supply chain, including from disruptions, delays, trade tensions and conflicts, or shortages;
  • product defects, errata, and other product issues, particularly as we develop next-generation products and implement next-generation manufacturing process technologies;
  • potential security vulnerabilities in our products; increasing and evolving cybersecurity threats and privacy risks;
  • IP risks including related litigation and regulatory proceedings;
  • the need to attract, retain, and motivate key talent;
  • strategic transactions and investments;
  • sales-related risks, including customer concentration and the use of distributors and other third parties;
  • our significantly reduced return of capital in recent years;
  • our debt obligations and our ability to access sources of capital;
  • complex and evolving laws and regulations across many jurisdictions;
  • fluctuations in currency exchange rates;
  • changes in our effective tax rate;
  • catastrophic events;
  • environmental, health, safety, and product regulations;
  • our initiatives and new legal requirements with respect to corporate responsibility matters; and
  • other risks and uncertainties described in this release, our most recent Annual Report on Form 10-K and our other filings with the U.S. Securities and Exchange Commission (SEC).

All information in this press release reflects Intel management views as of the date hereof unless an earlier date is specified. Intel does not undertake, and expressly disclaims any duty, to update such statements, whether as a result of new information, new developments, or otherwise, except to the extent that disclosure may be required by law.

About Intel

Intel (Nasdaq: INTC) is an industry leader, creating world-changing technology that enables global progress and enriches lives. Inspired by Moore’s Law, we continuously work to advance the design and manufacturing of semiconductors to help address our customers’ greatest challenges. By embedding intelligence in the cloud, network, edge and every kind of computing device, we unleash the potential of data to transform business and society for the better. To learn more about Intel’s innovations, go to newsroom.intel.com and intel.com.

© Intel Corporation. Intel, the Intel logo and other Intel marks are trademarks of Intel Corporation or its subsidiaries. Other names and brands may be claimed as the property of others.

John Hipsher

1-669-223-2416

john.hipsher@intel.com

Robin Holt

1-503-616-1532

robin.holt@intel.com

Source: Intel Corp.

FAQ

What is Intel's ticker symbol?

INTC

What is the focus of Intel Foundry launch?

Intel Foundry is launched as a sustainable systems foundry business for the AI era.

Which company has chosen a chip design to be produced on Intel 18A process?

Microsoft has chosen a chip design to be produced on the Intel 18A process.

Which ecosystem partners are ready to support Intel Foundry customers?

Ecosystem partners like Synopsys, Cadence, Siemens, and Ansys are ready to support Intel Foundry customers.

Who were some of the speakers at Intel Foundry Direct Connect event?

Speakers at the event included U.S. Secretary of Commerce Gina Raimondo, Arm CEO Rene Haas, Microsoft CEO Satya Nadella, and OpenAI CEO Sam Altman.

Intel Corp

NASDAQ:INTC

INTC Rankings

INTC Latest News

INTC Stock Data

131.54B
4.22B
0.06%
67.79%
1.7%
Semiconductor and Related Device Manufacturing
Manufacturing
Link
United States of America
SANTA CLARA

About INTC

Intel Corporation is an American multinational corporation and technology company headquartered in Santa Clara, California. It is one of the worlds largest semiconductor chip manufacturer by revenue, and is one of the developers of the x86 series of instruction sets found in most personal computers.